#lang scheme/base (require (planet zwizwa/staapl/pic18/define-constants)) (provide (all-defined-out)) (define-pic18-const-unit pic18-const^ pic18-const-id^ pic18-const@ (PCFG1 #x0001) (VCFG1 #x0005) (CHS1 #x0003) (NOT_DONE #x0001) (PEN #x0002) (ACKSTAT #x0006) (LATB1 #x0001) (LATB5 #x0005) (LATC1 #x0001) (LATC5 #x0005) (TRISA1 #x0001) (TRISA5 #x0005) (TRISB1 #x0001) (TRISB5 #x0005) (TRISC1 #x0001) (TRISC5 #x0005) (TUN1 #x0001) (PLLEN #x0006) (CCP1IE #x0002) (ADIE #x0006) (SSPIF #x0003) (TMR1IP #x0000) (TXIP #x0004) (TMR3IE #x0001) (CMIE #x0006) (TMR3IF #x0001) (CMIF #x0006) (TMR3IP #x0001) (CMIP #x0006) (WR #x0001) (CFGS #x0006) (FERR #x0002) (RX9 #x0006) (TRMT #x0001) (TXEN #x0005) (TMR3CS #x0001) (T3CKPS1 #x0005) (CM0 #x0000) (C1INV #x0004) (CVR0 #x0000) (CVRSS #x0004) (ABDEN #x0000) (RCIDL #x0006) (RCMT #x0006) (CCP2M3 #x0003) (DC2B1 #x0005) (CCP1M3 #x0003) (DC1B1 #x0005) (ACQT2 #x0005) (PCFG2 #x0002) (ADON #x0000) (CHS2 #x0004) (GO_DONE #x0001) (RCEN #x0003) (GCEN #x0007) (LATB2 #x0002) (LATB6 #x0006) (LATC2 #x0002) (LATC6 #x0006) (TRISA2 #x0002) (TRISA6 #x0006) (TRISB2 #x0002) (TRISB6 #x0006) (TRISC2 #x0002) (TRISC6 #x0006) (TUN2 #x0002) (INTSRC #x0007) (SSPIE #x0003) (TMR1IF #x0000) (TXIF #x0004) (TMR2IP #x0001) (RCIP #x0005) (LVDIE #x0002) (OSCFIE #x0007) (LVDIF #x0002) (OSCFIF #x0007) (LVDIP #x0002) (OSCFIP #x0007) (WREN #x0002) (EEPGD #x0007) (ADEN #x0003) (SPEN #x0007) (BRGH #x0002) (TX9 #x0006) (T3SYNC #x0002) (T3CCP2 #x0006) (CM1 #x0001) (C2INV #x0005) (CVR1 #x0001) (CVRR #x0005) (WUE #x0001) (ABDOVF #x0007) (CCP2M0 #x0000) (CCP2Y #x0004) (CCP1M0 #x0000) (CCP1Y #x0004) (ADCS0 #x0000) (ADFM #x0007) (PCFG3 #x0003) (GO #x0001) (CHS3 #x0005) (SEN #x0000) (ACKEN #x0004) (SSPM0 #x0000) (SSPM1 #x0001) (SSPEN #x0005) (UA #x0001) (D #x0005) (NOT_A #x0005) (NOT_ADDRESS #x0005) (T2OUTPS0 #x0003) (TMR1ON #x0000) (T1CKPS0 #x0004) (BOR #x0000) (RI #x0004) (NOT_POR #x0001) (SWDTEN #x0000) (LVDL2 #x0002) (LVV0 #x0000) (BGST #x0005) (HLVDL3 #x0003) (SCS0 #x0000) (IRCF0 #x0004) (T0PS0 #x0000) (T0SE #x0004) (T08BIT #x0006) (OV #x0003) (INT1E #x0003) (INT1IF #x0000) (INT1IP #x0006) (INTEDG2 #x0004) (NOT_RBPU #x0007) (RBIE #x0003) (GIE #x0007) (T0IE #x0005) (STKPTR1 #x0001) (STKUNF #x0006) (SP2 #x0002) (_CONFIG1H #x300001) (_CONFIG4L #x300006) (_CONFIG6H #x30000B) (_OSC_XT_1H #xF1) (_OSC_ECIO6_1H #xF5) (_OSC_INTIO7_1H #xF9) (_IESO_ON_1H #xFF) (_BOREN_ON_2L #xFB) (_BORV_1_2L #xEF) (_WDT_ON_2H #xFF) (_WDTPS_8_2H #xE7) (_WDTPS_128_2H #xEF) (_WDTPS_2048_2H #xF7) (_WDTPS_32768_2H #xFF) (_LPT1OSC_ON_3H #xFF) (SSPM2 #x0002) (SSPOV #x0006) (R #x0002) (CKE #x0006) (R_W #x0002) (T2CKPS0 #x0000) (T2OUTPS1 #x0004) (TMR1CS #x0001) (T1CKPS1 #x0005) (POR #x0001) (SBOREN #x0006) (NOT_PD #x0002) (SWDTE #x0000) (LVDL3 #x0003) (LVV1 #x0001) (HLVDL0 #x0000) (HLVDEN #x0004) (SCS1 #x0001) (IRCF1 #x0005) (T0PS1 #x0001) (T0CS #x0005) (C #x0000) (N #x0004) (INT2E #x0004) (INT2IF #x0001) (INT2IP #x0007) (INTEDG1 #x0005) (RBIF #x0000) (INT0E #x0004) (INT0IF #x0001) (GIEL #x0006) (STKPTR2 #x0002) (STKOVF #x0007) (SP3 #x0003) (_CONFIG2L #x300002) (_CONFIG5L #x300008) (_CONFIG7L #x30000C) (_OSC_HS_1H #xF2) (_OSC_HSPLL_1H #xF6) (_FCMEN_OFF_1H #xBF) (_PWRT_ON_2L #xFE) (_BOREN_NOSLP_2L #xFD) (_BORV_2_2L #xF7) (_WDTPS_1_2H #xE1) (_WDTPS_16_2H #xE9) (_WDTPS_256_2H #xF1) (_WDTPS_4096_2H #xF9) (_MCLRE_OFF_3H #x7F) (_PBADEN_OFF_3H #xFD) (SSPM3 #x0003) (WCOL #x0007) (S #x0003) (SMP #x0007) (D_A #x0005) (T2CKPS1 #x0001) (T2OUTPS2 #x0005) (T1SYNC #x0002) (T1RUN #x0006) (PD #x0002) (IPEN #x0007) (NOT_TO #x0003) (LVDL0 #x0000) (LVDEN #x0004) (LVV2 #x0002) (HLVDL1 #x0001) (VDIRMAG #x0007) (IOFS #x0002) (IRCF2 #x0006) (T0PS2 #x0002) (T016BIT #x0006) (DC #x0001) (INT1F #x0000) (INT1P #x0006) (INT1IE #x0003) (RBIP #x0000) (INTEDG0 #x0006) (INT0F #x0001) (TMR0IE #x0005) (T0IF #x0002) (GIEH #x0007) (STKPTR3 #x0003) (SP0 #x0000) (SP4 #x0004) (_CONFIG2H #x300003) (_CONFIG5H #x300009) (_CONFIG7H #x30000D) (_OSC_RC_1H #xF3) (_OSC_RCIO6_1H #xF7) (_FCMEN_ON_1H #xFF) (_PWRT_OFF_2L #xFF) (_BOREN_SBORDIS_2L #xFF) (_BORV_3_2L #xFF) (_WDTPS_2_2H #xE3) (_WDTPS_32_2H #xEB) (_WDTPS_512_2H #xF3) (_WDTPS_8192_2H #xFB) (_MCLRE_ON_3H #xFF) (_PBADEN_ON_3H #xFF) (CKP #x0004) (BF #x0000) (P #x0004) (NOT_W #x0002) (NOT_WRITE #x0002) (TMR2ON #x0002) (T2OUTPS3 #x0006) (T1OSCEN #x0003) (NOT_T1SYNC #x0002) (TO #x0003) (NOT_BOR #x0000) (NOT_RI #x0004) (LVDL1 #x0001) (IRVST #x0005) (LVV3 #x0003) (HLVDL2 #x0002) (IVRST #x0005) (OSTS #x0003) (IDLEN #x0007) (PSA #x0003) (TMR0ON #x0007) (Z #x0002) (INT2F #x0001) (INT2P #x0007) (INT2IE #x0004) (TMR0IP #x0002) (RBPU #x0007) (TMR0IF #x0002) (PEIE #x0006) (INT0IE #x0004) (STKPTR0 #x0000) (STKPTR4 #x0004) (SP1 #x0001) (STKFUL #x0007) (_CONFIG3H #x300005) (_CONFIG6L #x30000A) (_OSC_LP_1H #xF0) (_OSC_EC_1H #xF4) (_OSC_INTIO67_1H #xF8) (_IESO_OFF_1H #x7F) (_BOREN_OFF_2L #xF9) (_BORV_0_2L #xE7) (_WDT_OFF_2H #xFE) (_WDTPS_4_2H #xE5) (_WDTPS_64_2H #xED) (_WDTPS_1024_2H #xF5) (_WDTPS_16384_2H #xFD) (_LPT1OSC_OFF_3H #xFB) (_CCP2MX_PORTBE_3H #xFE) (_CCP2MX_PORTC_3H #xFF) (_LVP_ON_4L #xFF) (_DEBUG_OFF_4L #xFF) (_CP1_OFF_5L #xFF) (_CP3_OFF_5L #xFF) (_CPD_OFF_5H #xFF) (_WRT1_OFF_6L #xFF) (_WRT3_OFF_6L #xFF) (_WRTC_OFF_6H #xFF) (_EBTR0_OFF_7L #xFF) (_EBTR2_OFF_7L #xFF) (_EBTRB_OFF_7H #xFF) (_IDLOC1 #x200001) (_IDLOC5 #x200005) (_STVREN_OFF_4L #xFE) (_XINST_OFF_4L #xBF) (_CP0_ON_5L #xFE) (_CP2_ON_5L #xFB) (_CPB_ON_5H #xBF) (_WRT0_ON_6L #xFE) (_WRT2_ON_6L #xFB) (_WRTB_ON_6H #xBF) (_WRTD_ON_6H #x7F) (_EBTR1_ON_7L #xFD) (_EBTR3_ON_7L #xF7) (_DEVID1 #x3FFFFE) (_IDLOC2 #x200002) (_IDLOC6 #x200006) (_STVREN_ON_4L #xFF) (_XINST_ON_4L #xFF) (_CP0_OFF_5L #xFF) (_CP2_OFF_5L #xFF) (_CPB_OFF_5H #xFF) (_WRT0_OFF_6L #xFF) (_WRT2_OFF_6L #xFF) (_WRTB_OFF_6H #xFF) (_WRTD_OFF_6H #xFF) (_EBTR1_OFF_7L #xFF) (_EBTR3_OFF_7L #xFF) (_DEVID2 #x3FFFFF) (_IDLOC3 #x200003) (_IDLOC7 #x200007) (_LVP_OFF_4L #xFB) (_DEBUG_ON_4L #x7F) (_CP1_ON_5L #xFD) (_CP3_ON_5L #xF7) (_CPD_ON_5H #x7F) (_WRT1_ON_6L #xFD) (_WRT3_ON_6L #xF7) (_WRTC_ON_6H #xDF) (_EBTR0_ON_7L #xFE) (_EBTR2_ON_7L #xFB) (_EBTRB_ON_7H #xBF) (_IDLOC0 #x200000) (_IDLOC4 #x200004) (FSR0 0) (FSR1 1) (A 0) (PORTB #x0F81) (LATB #x0F8A) (DDRB #x0F93) (OSCTUNE #x0F9B) (PIE2 #x0FA0) (EECON2 #x0FA7) (RCSTA #x0FAB) (SPBRG #x0FAF) (TMR3H #x0FB3) (CCPR2 #x0FBB) (CCPR1 #x0FBE) (ADCON2 #x0FC0) (ADRESL #x0FC3) (SSPSTAT #x0FC7) (PR2 #x0FCB) (TMR1H #x0FCF) (LVDCON #x0FD2) (T0CON #x0FD5) (FSR2L #x0FD9) (POSTDEC2 #x0FDD) (FSR1L #x0FE1) (POSTINC1 #x0FE6) (FSR0H #x0FEA) (POSTINC0 #x0FEE) (INTCON #x0FF2) (TABLAT #x0FF5) (TBLPTRU #x0FF8) (PCLATU #x0FFB) (TOSH #x0FFE) (RA2 #x0002) (RA5 #x0005) (AN4 #x0005) (HLVDIN #x0005) (RB3 #x0003) (RB7 #x0007) (CCP2_PORTB #x0003) (KBI3 #x0007) (AN9 #x0003) (PGD #x0007) (RC3 #x0003) (RC7 #x0007) (SCK #x0003) (RX #x0007) (SDA #x0004) (MCLR #x0003) (LATA1 #x0001) (LATA5 #x0005) (LATB3 #x0003) (LATB7 #x0007) (LATC3 #x0003) (LATC7 #x0007) (TRISA3 #x0003) (TRISA7 #x0007) (TRISB3 #x0003) (TRISB7 #x0007) (TRISC3 #x0003) (TRISC7 #x0007) (TUN3 #x0003) (TMR1IE #x0000) (TXIE #x0004) (TMR2IF #x0001) (RCIF #x0005) (CCP1IP #x0002) (ADIP #x0006) (BCLIE #x0003) (HLVDIE #x0002) (BCLIF #x0003) (HLVDIF #x0002) (BCLIP #x0003) (HLVDIP #x0002) (WRERR #x0003) (RX9D #x0000) (CREN #x0004) (ADDEN #x0003) (SENDB #x0003) (CSRC #x0007) (T3CCP1 #x0003) (RD16 #x0007) (CM2 #x0002) (C1OUT #x0006) (CVR2 #x0002) (CVROE #x0006) (BRG16 #x0003) (TXCKP #x0004) (CCP2M1 #x0001) (CCP2X #x0005) (CCP1M1 #x0001) (CCP1X #x0005) (ADCS1 #x0001) (T1OSO #x0000) (SDI #x0004) (T13CKI #x0000) (CK #x0006) (NOT_MCLR #x0003) (LATA2 #x0002) (LATA6 #x0006) (LATB4 #x0004) (LATC0 #x0000) (LATC4 #x0004) (TRISA0 #x0000) (TRISA4 #x0004) (TRISB0 #x0000) (TRISB4 #x0004) (TRISC0 #x0000) (TRISC4 #x0004) (TUN0 #x0000) (TUN4 #x0004) (TMR2IE #x0001) (RCIE #x0005) (CCP1IF #x0002) (ADIF #x0006) (SSPIP #x0003) (CCP2IE #x0000) (EEIE #x0004) (CCP2IF #x0000) (EEIF #x0004) (CCP2IP #x0000) (EEIP #x0004) (RD #x0000) (FREE #x0004) (OERR #x0001) (SREN #x0005) (TX9D #x0000) (SYNC #x0004) (TMR3ON #x0000) (T3CKPS0 #x0004) (NOT_T3SYNC #x0002) (CIS #x0003) (C2OUT #x0007) (CVR3 #x0003) (CVREN #x0007) (SCKP #x0004) (RXDTP #x0005) (CCP2M2 #x0002) (DC2B0 #x0004) (CCP1M2 #x0002) (DC1B0 #x0004) (ADCS2 #x0002) (T1OSI #x0001) (SDO #x0005) (CCP2_PORTC #x0001) (T1CKI #x0000) (VPP #x0003) (LATA3 #x0003) (LATA7 #x0007) (FSR2 2) (ACCESS 0) (PORTC #x0F82) (LATC #x0F8B) (TRISB #x0F93) (PIR1 #x0F9E) (IPR2 #x0FA2) (EEADR #x0FA9) (TXREG #x0FAD) (T3CON #x0FB1) (CVRCON #x0FB5) (BAUDCTL #x0FB8) (CCPR2H #x0FBC) (ADCON1 #x0FC1) (ADRESH #x0FC4) (SSPADD #x0FC8) (TMR2 #x0FCC) (RCON #x0FD0) (OSCCON #x0FD3) (STATUS #x0FD8) (PREINC2 #x0FDC) (BSR #x0FE0) (FSR1H #x0FE2) (INDF1 #x0FE7) (PLUSW0 #x0FEB) (INDF0 #x0FEF) (PROD #x0FF3) (TBLPTR #x0FF6) (PC #x0FF9) (STKPTR #x0FFC) (TOSU #x0FFF) (RA3 #x0003) (RA6 #x0006) (SS #x0005) (RB0 #x0000) (RB4 #x0004) (INT0 #x0000) (KBI0 #x0004) (AN12 #x0000) (AN11 #x0004) (RC0 #x0000) (RC4 #x0004) (CCP1 #x0002) (TX #x0006) (SCL #x0003) (RE3 #x0003) (LATA0 #x0000) (LATA4 #x0004) (LATB0 #x0000) (W 0) (PORTA #x0F80) (LATA #x0F89) (TRISA #x0F92) (TRISC #x0F94) (IPR1 #x0F9F) (EECON1 #x0FA6) (EEADRH #x0FAA) (RCREG #x0FAE) (TMR3L #x0FB2) (BAUDCON #x0FB8) (CCPR2L #x0FBB) (CCPR1L #x0FBE) (CCPR1H #x0FBF) (ADRES #x0FC3) (SSPCON1 #x0FC6) (T2CON #x0FCA) (TMR1L #x0FCE) (HLVDCON #x0FD2) (TMR0L #x0FD6) (FSR2H #x0FDA) (POSTINC2 #x0FDE) (PREINC1 #x0FE4) (WREG #x0FE8) (PREINC0 #x0FEC) (INTCON3 #x0FF0) (PRODL #x0FF3) (TBLPTRL #x0FF6) (PCL #x0FF9) (TOS #x0FFD) (RA0 #x0000) (RA4 #x0004) (RA7 #x0007) (NOT_SS #x0005) (RB1 #x0001) (RB5 #x0005) (INT1 #x0001) (KBI1 #x0005) (AN10 #x0001) (PGM #x0005) (RC1 #x0001) (RC5 #x0005) (ACQT0 #x0003) (PCFG0 #x0000) (VCFG0 #x0004) (CHS0 #x0002) (DONE #x0001) (RSEN #x0001) (ACKDT #x0005) (FAST 1) (BANKED 1) (PORTE #x0F84) (DDRA #x0F92) (DDRC #x0F94) (PIE1 #x0F9D) (PIR2 #x0FA1) (EEDATA #x0FA8) (TXSTA #x0FAC) (SPBRGH #x0FB0) (CMCON #x0FB4) (CCP2CON #x0FBA) (CCP1CON #x0FBD) (ADCON0 #x0FC2) (SSPCON2 #x0FC5) (SSPBUF #x0FC9) (T1CON #x0FCD) (WDTCON #x0FD1) (DEBUG #x0FD4) (TMR0H #x0FD7) (PLUSW2 #x0FDB) (INDF2 #x0FDF) (PLUSW1 #x0FE3) (POSTDEC1 #x0FE5) (FSR0L #x0FE9) (POSTDEC0 #x0FED) (INTCON2 #x0FF1) (PRODH #x0FF4) (TBLPTRH #x0FF7) (PCLATH #x0FFA) (TOSL #x0FFD) (RA1 #x0001) (T0CKI #x0004) (LVDIN #x0005) (RB2 #x0002) (RB6 #x0006) (INT2 #x0002) (KBI2 #x0006) (AN8 #x0002) (PGC #x0006) (RC2 #x0002) (RC6 #x0006) (ACQT1 #x0004) )